不能停止的钟表

发布于:2018-06-18 03:27:14
何**的主页
王者
作品简介及操作说明

一个神奇的钟表,自启动,按下停止键也无法停止! 思路: 首先创建一个变量time,重复执行:time=计时器+0.1 再创建一个脚本:当计时器>time,就可以完成对停止的监测了。 另:我的钟表可是100%画笔的哦

「•」用户发布作品中的图片、音乐素材均来自创作者本人,仅用于学习使用,如有侵权请联系本站删除。

TA的近期作品

PONG v3.6

  71469      253

声波图

  84144      252

4D

  86008      260

90135 252 0 关注TA 分享 举报 下载
共有2条留言

何**

额...下载后应该没问题了

顶 (0)2018-08-18 09:30:27

嘟嘟

无法显示哦?

顶 (0)2018-06-18 21:44:56

昵称* : 邮箱* :
点击切换
猜你喜欢

中国少儿编程网